当前位置: 首页 > news >正文

上海智能模板建站30秒短视频制作报价明细

上海智能模板建站,30秒短视频制作报价明细,做国外衣服的网站,网站优化是什么在Verilog中,将force用于variable会覆盖掉过程赋值,或者assign引导的连续(procedural assign)赋值,直到release。 下面通过一个简单的例子展示其用法: 加法器代码 module adder ( input logic [31:0] a, …

在Verilog中,将force用于variable会覆盖掉过程赋值,或者assign引导的连续(procedural assign)赋值,直到release
下面通过一个简单的例子展示其用法:
加法器代码

module adder
(
input  logic [31:0] a,
input  logic [31:0] b,
output logic [31:0] sum
);
//sum
assign sum = a + b;
endmodule

测试平台代码(主要用于产生激励)

module test;logic [31:0] a;logic [31:0] b;logic [31:0] sum;initial beginforever begina = $urandom % 128;b = $urandom % 128;#10;endend//initial begin#200 force u_adder.a = 32'd33;force u_adder.b = 32'd66;#200release u_adder.a;release u_adder.b;endinitial begin$fsdbDumpfile("adder.fsdb");$fsdbDumpvars(0);$fsdbDumpMDA();endinitial begin#1000$finish;end//instadder u_adder(.a  (a  ),.b  (b  ),.sum(sum));endmodule

如上所示,正常情况下,u_adder模块的a和b端口由testbench中的a和b信号驱动,然而,在时间为200ns处,u_adder模块的输入u_adder.a和u_adder.b被强制固定为33和66,如下代码所示。

    #200 force u_adder.a = 32'd33;force u_adder.b = 32'd66;

又经过200ns后,release语句释放了u_adder.a和u_adder.b的强制赋值,如下代码所示:

    #200release u_adder.a;release u_adder.b;

编写makefile文件,对上述代码进行仿真,其中,makefile文件的内容如下:

all: listfile com sim verdi cleanlistfile:find -name "*.sv" > filelist.fcom:vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -sverilog -debug_access -timescale=1ns/10ps \-f filelist.f -l com.log -kdb -lca sim:./simv -l sim.log +fsdb+forceverdi:verdi -sv -f filelist.f -ssf *.fsdb -nologo &clean:rm -rf csrc *.log *.key *simv* *.vpd *DVE*rm -rf verdiLog *.fsdb *.bak *.conf *.rc *.f

这里,在运行simv文件时,需要加上+fsdb+force选项,即:

./simv -l sim.log +fsdb+force

运行verdi查看波形,有下图:
在这里插入图片描述
可以看到,第200-400ns,加法器实际的输入a和b被固定为33和66,其值不再与testbench中的a, b绑定。另外,图中的紫色三角形分别表示该信号被force和release的时刻,被force强制指定的值,在显示的时候,前面会有一个^符号,例如上图中的^33和^66

http://www.yayakq.cn/news/677624/

相关文章:

  • 中国被墙的网站api低代码开发平台
  • 创建网站投资多少钱嘉兴网站推广优化公司
  • 长治网站制作的网站张家港网站开发培训
  • 网站建设的中期检查表商城建站系统多少钱
  • 网站建设语言学什么可以做网站的路由器
  • 临沂网站建设制作网站和网页的区别在于
  • 东莞网站哪家好精简wordpress头部信息
  • 阳泉网站建设哪家便宜网站建设规划申请
  • 大型网站建设报价方案主页格式
  • 美食网站开发的特点与总结来安县城乡规划建设局网站
  • 汉中建设网站泰安网站制作方案
  • 换ip对网站有影响吗手机版网站开发人员选项
  • 聊城网站推广动态做防腐木花架的网站
  • 网站界面用什么做广州企业如何建网站
  • 网站建设找超速云建站ui网页设计图片
  • 外贸英语网站下载好字体怎么导入wordpress
  • joomla适合做什么网站苏州保洁公司招聘
  • 中国住房和城乡建设部网站官网怎么做兼职网站
  • 用易语言做攻击网站软件下载书籍教你如何做网站
  • 那个视频网站可以做桌面背景ui设计师找工作
  • 石大远程网页设计与网站建设答案成都品牌设计策划
  • 网络工程师培训机构排名淘宝seo是指什么
  • 页面升级紧急通知班级优化大师官网下载
  • 南京百度网站快速优化申请域名建立网站
  • 一个网站开发的流程代写稿子的平台
  • 建筑公司网站管理员网站怎么做区域性优化
  • 中山网站排名网站速度的重要性
  • 网站免费加速器怎么用wordpress建手机网站
  • 快消品网站建设什么网站做美食最好最专业
  • 什么是网站反向链接有用建站宝盒做网站的吗