当前位置: 首页 > news >正文

站长工具精品广州网站建设q479185700棒

站长工具精品,广州网站建设q479185700棒,wordpress下载主题模板,网站开发中效率较高的编程语言题目: 已知d为一个8位数,请在每个时钟周期分别输出该数乘1/3/7/8,并输出一个信号通知此时刻输入的d有效(d给出的信号的上升沿表示写入有效) 由题意可知: 复位信号高有效,低复位;在inpu_grant上升…

  题目:

         已知d为一个8位数,请在每个时钟周期分别输出该数乘1/3/7/8,并输出一个信号通知此时刻输入的d有效(d给出的信号的上升沿表示写入有效)

 

由题意可知:

        复位信号高有效,低复位;在inpu_grant上升沿到来时,取一次d的值,并且4个时钟周期取一次;out是将inpu_grant取到的值进行乘1/3/7/8,并且每个时钟周期乘一个。

项目经验:

        在FPGA中实现乘法器确实需要消耗一定的资源。这包括逻辑门、触发器、乘法器等。不同的乘法器实现方法消耗的资源有所不同。例如,查找表乘法器需要较大的存储空间,但可以减少乘法操作的逻辑门数量;流水线乘法器需要较多的触发器,但可以实现较高的吞吐量。

        在FPGA的设计中,如果直接将两个数相乘,会占用大量的LUT逻辑资源,而且会减慢硬件的运算速度。因此,在软件设计中两个数的相乘可以直接使用“*”,但在FPGA的设计中,需要采用更复杂的实现方式来处理乘法操作,以节约资源并提高运算速度。

        总的来说,虽然乘法操作在FPGA中会消耗一定的资源,但通过合理的实现方式,可以有效地利用资源并提高系统的性能和效率。

算法设计:

        设 a=1;故:

                a << 1 = 2 = a * 2 ;

                a << 2 = 4 = a * 2*2 = a * 4;    

                a << 3 = 8 = a * 2*2*2 = a* 8;

                a << 4 = 16 = a * 2*2*2*2 = a*16;

            以此类推,乘1/3/7/8,得;

                a = a = a * 1;

                a = (a << 1) + a = a * 3;

                a = (a << 2) - a = a * 7;

                a = a << 2 = a * 8;

 实现代码:

`timescale 1ns/1ns
module multi_sel(input  wire clk,       input  wire rst,input  wire [7:0]d,output reg  input_grant,output reg [10:0]out
);
reg [1:0]cnt;
reg [7:0]tmp;
always @(posedge clk or negedge rst)
beginif(!rst)begincnt <= 0;endelsebegincnt <= cnt + 1;end
endalways @(posedge clk or negedge rst)
beginif(!rst)beginout <= 0;input_grant <= 0;tmp <= 0;endelsebegincase(cnt)0:  begininput_grant <= 1;out <= d;tmp <= d;end1:  begininput_grant <= 0;out <= (tmp << 1) + tmp; end2:  begininput_grant <= 0;out <= (tmp << 3) - tmp;end3:  begininput_grant <= 0;out <= tmp << 3;endendcase end
end
endmodule

前仿真代码:

`timescale 1ns / 1psmodule test_sel();reg clk;       reg rst;reg [7:0]d;always  beginclk = 1;#10;clk = 0;#10;
endinitial beginrst = 0;d = 143;#20 rst = 1;#80 d = 7;#80 d = 6;#20 d = 128;#20 d = 129;
endmulti_sel multi_sel_init(.clk(clk),      .rst(rst),.d(d)
);endmodule

 测试结果:

http://www.yayakq.cn/news/693835/

相关文章:

  • 公司企业网站建设多少钱便宜网站建设模板网站
  • 网站链接数本地生活网站 源码
  • 聊城网站策划本地南通网站建设
  • 新农村建设举报网站国外代理服务器ip免费
  • 郑州建设网站有哪些贵州网站推广
  • seo关键词优化培训班济南网站优化推广
  • 视频上传下载网站建设如何制作课程网站模板
  • wordpress构建自己的网站注册企业的流程有哪些
  • 长春南关网站建设wordpress链接
  • 银川市住房和城乡建设网站手机版网站版面设计怎么做
  • 沈阳网站建设首选龙兴科技设计开发输入清单
  • 济南公积金网站衡阳房产网
  • 怎么样建设个人网站建企业网站步骤
  • 现在最长用的做网站软件是什么怎么做自己的视频网站
  • 简洁网站模板4.4.12 wordpress
  • 贵州大地建设集团网站php 网站
  • 南京网站建设与网络营销的关系国外网站怎么上
  • 企业门户网站自考网页制作与网站建设
  • 好创意的设计网站wordpress与dedecms
  • 做实体识别的网站做网站 做app好
  • 湖北网站设计制作多少钱怎么制作表格excel初学者视频
  • 个人网站背景图片网站设计工作流程
  • 重庆建设工程造价信息网站网站制作公司怎样帮客户做优化
  • 小程序代理推广wordpress最全seo标题
  • 营销网站建设模板东莞网站制作的方案
  • 邢台手机网站制作liunx做网站跳转
  • 网站制作策划书智慧团建平台
  • 淄博网站建设公司三农网站投放广告怎么做
  • 理财平台网站建设网站开发使用数据库的好处
  • 网站开发哪里好十大招聘网站排行榜