当前位置: 首页 > news >正文

网站制作外包公司龙岗互联网公司

网站制作外包公司,龙岗互联网公司,品牌推广多少钱,网站建设开发的规划流程N位分频器的实现 一、 目的 使用verilog实现n位的分频器,可以是偶数,也可以是奇数 二、 原理 FPGA中n位分频器的工作原理可以简要概括为: 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。n位分频器可以将输入时钟频率分频2^n倍…

N位分频器的实现

一、 目的

使用verilog实现n位的分频器,可以是偶数,也可以是奇数

二、 原理

FPGA中n位分频器的工作原理可以简要概括为:

  1. 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。
  2. n位分频器可以将输入时钟频率分频2^n倍。
  3. n位分频器主要由n个触发器级联组成。
  4. 第一个触发器时钟输入接入输入时钟,数据端接D=0。
  5. 后级触发器的时钟依次接前一级触发器的反相Q信号。
  6. 通过这种级联,将输入时钟周期分成2^n个阶段。

7.最后一个触发器Q输出即为分频之后的时钟信号。

  1. 更改计数器位数n,可以灵活改变分频比例。
  2. 分频器广泛应用于计数器、时序逻辑的时钟分频等场景。

所以n位分频器通过n个触发器的级联,利用反相Q输出产生2^n分频效果,是FPGA时钟管理中的重要组成部分。

三、 系统架构设计

工程模块划分及说明

image-20230722151641299

四、 代码实现

1. 模块端口信号列表

module divider #(parameter N = 9)(input wire clk,input wire rst_n,output wire out
);
reg[N:0] cnt1;//上升沿计数器
reg[N:0] cnt2;//下降沿计数器
wire add_cnt1;//上升沿开始条件
wire end_cnt1;//上升沿结束条件
wire add_cnt2;//下降沿开始条件
wire end_cnt2;//下降沿结束条件
always @(posedge clk or negedge rst_n) beginif(~rst_n) begincnt1<='d0;endelse if(add_cnt1)beginif(end_cnt1)begincnt1 <='d0;endelse begincnt1 <= cnt1 + 1'b1;endendelse begincnt1<=cnt1;end
endalways @(negedge clk or negedge rst_n) beginif(~rst_n) begincnt2<='d0;endelse if(add_cnt2)beginif(end_cnt2)begincnt2 <='d0;endelse begincnt2 <= cnt2 + 1'b1;endendelse begincnt2<=cnt2;end
endassign add_cnt1 = 1'b1;
assign end_cnt1 = add_cnt1 && cnt1 == N;
assign add_cnt2 = 1'b1;
assign end_cnt2 = add_cnt2 && cnt2 == N;assign out = (N%2==0)?((cnt2<=(N>>1))&&(cnt1<=(N>>1))?1'b0:1'b1):((cnt1<=(N>>1)?1'b0:1'b1);endmodule

测试文件:

module driver_n_tb();
reg clk;
reg rst_n;
wire out;
parameter SYS_CLK = 20;
parameter N = 8;
always #(SYS_CLK/2) clk = ~clk;initial beginclk=1'b0;rst_n=1'b0;#(2*SYS_CLK+10);rst_n=1'b1;#(100*SYS_CLK);$stop;
end
divider #(.N(N)) inst_divider_n (.clk(clk), .rst_n(rst_n), .out(out));endmodule

五. 时序及仿真结果

偶数:image-20230722152707689

奇数:

image-20230722152731878

五、 仿真波形图

偶数:image-20230722153104983

奇数:image-20230722153124078

http://www.yayakq.cn/news/921215/

相关文章:

  • 公司设计网站应遵守哪些常理网站技术支持
  • 乐清公司做网站餐饮小程序制作
  • 注册网站会不会有风险房源网站建设
  • 免费软件制作网站怎么做市场营销和推广
  • 网站建设 专项资金变更淘宝官网首页登录账号
  • 网站建设 没市场了吧广告设计专业课程
  • 世界上最有趣的网站手机可怎么样做网站
  • 有没有通信专业业余做兼职的网站娄底建设局官方网站
  • 一个好的网站内页大概做多少客户网站开发全流程图
  • 建立网站解析会员视频是犯什么罪市场营销方案怎么做
  • 中国公路建设协会网站网页导航条制作教程
  • 网站后台图片并排怎么做wordpress插件 二次开放
  • 网站建设所需资料北京网页设计电脑培训
  • 绿色系的网站企业电子商务网站开发
  • 温州市建设小学学校网站wordpress 安装 插件
  • 自己做视频网站有点卡厂家招总代理
  • 福州网站推广排名369网站建设中心
  • 成都网站制作设计设计图案用什么软件
  • 龙岩app定制什么样的网站好优化
  • 濮阳做网站的电话做移动网站建设
  • 免费游戏网站建设游戏后台Corona app wordpress
  • 医疗公司网站建设项目背景关系建设的网站
  • 罗湖住房和建设局网站网站建设课题简介
  • 网站模版上线需要什么意思源码建网站
  • 北辰手机网站建设昆明专业的网站制作建设
  • 网站结构及内容建设策略企业做网站哪家好
  • 确定网站主题工商系统企业信用信息查询
  • 怎样做网站步骤自己在线制作logo免费生成器
  • 子网站域名ip地址查询百度竞价排名技巧
  • flash 网站头部企业网站包含的内容