当前位置: 首页 > news >正文

如何做淘宝客自己的网站国外免费虚拟主机

如何做淘宝客自己的网站,国外免费虚拟主机,十年经验网站开发企业,免费教育网站大全 建站LV7_求两个数的差值 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 描述 根据输入信号a,b的大小关系,求解两个数的差值:输入信号a,b…

LV7_求两个数的差值

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

根据输入信号a,b的大小关系,求解两个数的差值:输入信号a,b为8bit位宽的无符号数。如果a>b,则输出a-b,如果a≤b,则输出b-a。

接口信号图如下:

在这里插入图片描述

输入描述:

clk:系统时钟

rst_n:复位信号,低电平有效

a,b:8bit位宽的无符号数

输出描述:

c:8bit位宽的无符号数

代码

`timescale 1ns/1ns
module data_minus(input clk,input rst_n,input [7:0]a,input [7:0]b,output  reg [8:0]c
);
//*************code***********//
/*代码思路: if(a>b),输出a-bif(a<=b),输出b-a简单的if判断
*/always @(posedge clk or negedge rst_n) beginif(!rst_n)c <= 0;else beginif(a>b)c <= a-b;else if(a<=b)c <= b-a;endend
//*************code***********//
endmodule
http://www.yayakq.cn/news/686129/

相关文章:

  • 什么网站可以接装修活惠州手机网站商城建设
  • 多用户旅游网站开发许昌网站开发
  • 龙岗网站优化电商saas
  • phpcms 网站打不开哪家网站建设做的好
  • c站建筑人才服务中心官网
  • 南昌网站建设网站 建设文档
  • 护肤品网站建设的摘要合肥学习做网站
  • 做网站用电脑自带的如何为公司做网站
  • 建设网站与维护中国交通频道在线直播2022
  • 网站建设与网页设计 难学吗苍南建设网站
  • 网站建设参考文献资料做网站金山区
  • 大连网站设计报价兰州装修公司口碑排名推荐
  • 2016企业网站建设方案php网站开发实验总结
  • 个人网站要怎么做手机做ppt免费模板
  • 商城网站备案建设视频网站要求
  • 网站建设唐山营销策略理论有哪些
  • 合作做网站的总结和心得外国做家具的网站
  • 怎样自做网站阳江网络问政平台新闻发布会
  • 网站建设凡科wordpress页面大小修改
  • 做网站框架图哪个在线网站好用seo哪家强
  • 深圳建设交易中心网站做学校网站素材
  • 网站的外链是怎么做的网络营销典型案例
  • 网站开发平台建设手机建站cms
  • 可信赖的企业网站建设设计师网址导航官网
  • 网站建设要考虑哪些方面网站菜单 网站导航
  • 做一小说网站要花多钱京东seo是什么意思
  • 潮州南桥市场中国建设银行网站网络app推广公司
  • 营销网站html律师网站建设
  • 网站建设规划怎么写门户网站信息发布管理办法
  • 个人网站与企业网站区别怎么增加网站的流量