当前位置: 首页 > news >正文

网络推广做哪个网站比较好射阳住房和建设局网站

网络推广做哪个网站比较好,射阳住房和建设局网站,网站搜索框怎么做,自适应和响应式的区别1. 基于状态机的按键消抖 1.1 什么是按键? 从按键结构图10-1可知,按键按下时,接点(端子)与导线接通,松开时,由于弹簧的反作用力,接点(端子)与导线断开。 从…

1. 基于状态机的按键消抖

1.1 什么是按键?

从按键结构图10-1可知,按键按下时,接点(端子)与导线接通,松开时,由于弹簧的反作用力,接点(端子)与导线断开。

从原理图10-2可知,按键按下时为低电平,未按下为高电平

1.2 为什么要消抖?

1.3 基于按键消抖的状态转移图

2. 写设计代码,仿真代码并仿真(未使用随机函数的测试)

1. 设计代码

module key_filter(clk,rstn,key,
//    key_p_flag,
//    key_r_flag,key_flag,key_state
);input clk;input rstn;input key;
//   output reg key_p_flag;
//   output reg key_r_flag;output reg key_flag;output reg key_state;//边沿检测reg [1:0] r_key;always@(posedge clk)r_key <= {r_key[0], key}; 
//    reg [1:0] r_key;    
//    always@(posedge clk)begin
//        r_key[0] <= key;
//        r_key[1] <= r_key[0]; 
//    endwire nedge_key;wire pedge_key;assign nedge_key = (r_key == 2'b10);assign pedge_key = (r_key == 2'b01);reg [1:0]state;reg [19:0] cnt;always@(posedge clk or negedge rstn)if(!rstn)beginstate <= 0;cnt <= 0;
//        key_p_flag <= 0;
//        key_r_flag <= 0;key_flag <= 0;key_state <= 1;endelsecase(state)0: begin
//            key_r_flag <= 0;key_flag <= 0;if(nedge_key == 1) beginstate <= 1;endelsestate <= 0;end 1:beginif((pedge_key == 1) && (cnt < 1000000 - 1))beginstate <= 0;cnt <= 0;endelse if((pedge_key == 0) && (cnt >= 1000000 - 1))beginstate <= 2;
//               key_p_flag <= 1'd1;key_flag <= 1'd1;key_state <= 0;cnt <= 0;endelsecnt <= cnt + 1'd1;end2:begin
//           key_p_flag <= 0;key_flag <= 0;if(pedge_key == 1)state <= 3;elsestate <= 2;end3:beginif((nedge_key == 1) && (cnt < 1000000 - 1))beginstate <= 2;cnt <= 0;endelse if((nedge_key == 0) && (cnt >= 1000000 - 1))beginstate <= 0;
//                key_r_flag <= 1;key_flag <= 1'd1;key_state <= 1;cnt <= 0;endelsecnt <= cnt + 1'd1;endendcaseendmodule

2. 仿真代码

`timescale 1ns / 1psmodule key_filter_tb();reg clk;reg rstn;reg key;
//    wire key_p_flag;
//    wire key_r_flag;wire key_flag;wire key_state;key_filter key_filter_inst(.clk(clk),.rstn(rstn),.key(key),
//        .key_p_flag(key_p_flag),
//       .key_r_flag(key_r_flag),.key_flag(key_flag),.key_state(key_state));initial clk = 1;always #10 clk = ~clk;initial beginrstn = 0;key = 1;#201;rstn = 1;#200;key = 1;#50000000;key = 0;#30000;key = 1;#30000;key = 0;#30000;key = 1;#30000;key = 0;#50000000;key = 1;#30000;key = 0;#30000;key = 1;#30000;key = 0;#30000;key = 1;#50000000;$stop;endendmodule

3. 仿真波形

 3. 基于verilog系统函数random的随机测试下的按键抖动(tb编写语法)

通过系统函数random产生一个随机的延迟值,来模拟真实情况下的延迟。

3.1 系统函数random的两个例子:

1. 产生一个[-(b+1): (b-1)]的随机数:$random% b;

2.产生一个[0: b-1]的随机数:{$random}% b;;

修改后的仿真代码:

`timescale 1ns / 1psmodule key_filter_tb();reg clk;reg rstn;reg key;
//    wire key_p_flag;
//    wire key_r_flag;wire key_flag;wire key_state;key_filter key_filter_inst(.clk(clk),.rstn(rstn),.key(key),
//        .key_p_flag(key_p_flag),
//       .key_r_flag(key_r_flag),.key_flag(key_flag),.key_state(key_state));initial clk = 1;always #10 clk = ~clk;reg [19:0] rand;initial beginrstn = 0;key = 1;#201;rstn = 1;#200;press_key(1);$stop;endtask press_key;input [2:0] seed;beginkey = 1;#20000000; repeat(5) beginrand = {$random(seed)} % 9999999; //产生0到9999999ns的延迟#rand key = ~key;endkey = 0;#40000000;repeat(5) beginrand = {$random(seed)} % 9999999; //产生0到9999999ns的延迟#rand key = ~key;endkey = 1;#40000000;endendtaskendmodule

4. 调试(产生多余38ns的原因)

 

http://www.yayakq.cn/news/801150/

相关文章:

  • 个人站长适合做什么网站wordpress主题包怎么做
  • 企业网站建设有哪些好处如何利用视频网站做数字营销推广
  • 购物网站app制作淘宝做首页热点的什么网站
  • 河北邢台市的快递能收吗seo快速排名培训
  • 如何做外贸soho做网站定制网站建设制作商
  • 中国专门做生鲜的网站wordpress添加小工具
  • 公司网站建设哪里好书生商友网站建设
  • 外贸网站怎么做外链青海省住房城乡建设厅网站
  • 做个网站大约多少钱wordpress中文分类问题
  • 娄底建设网站的公司软件定制网站优化 seo一站式
  • 广西庆海建设发展有限公司网站计算机网络设计主要学什么
  • 诚信网站认证必需做吗软文案例500字
  • 汕头seo网站建设仿v电影 wordpress
  • 微信小程序网站开发教程知名网站建设推荐
  • wordpress文章名加后缀怎样做网站标题优化
  • 广元网站建设seo优化营销制作设计国外公司查询网站
  • 网站中捕获鼠标位置云南建设银行官方网站
  • 提升排名恩城seo的网站
  • 建社个人网站长沙网站优化体验
  • 网站的ci设计怎么做设计品牌企业logo
  • 芜湖网站制作网店策划书模板
  • 菏泽正耀网站建设公司怎么样苏州做网站设计
  • 网站建设与管理实训心得体会哈尔滨企业建站网站开发
  • 关于重新建设网站的申请表wordpress内插件翻译
  • 网站设计 用户心理研究做网站用花生壳哪个版本
  • 怎么做一个自己的网站建筑设计模板
  • 响应式中文网站欣赏河北网站建设制作
  • 中山论坛建站模板有什么好的设计网站
  • 做网站推广送什么wordpress常见插件
  • 怎么样做问卷网站上海闵行注册公司