当前位置: 首页 > news >正文

镇江网站建设设计东城网站设计

镇江网站建设设计,东城网站设计,多媒体网站建设,福州网站建设技术支持LV7_求两个数的差值 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 描述 根据输入信号a,b的大小关系,求解两个数的差值:输入信号a,b…

LV7_求两个数的差值

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

根据输入信号a,b的大小关系,求解两个数的差值:输入信号a,b为8bit位宽的无符号数。如果a>b,则输出a-b,如果a≤b,则输出b-a。

接口信号图如下:

在这里插入图片描述

输入描述:

clk:系统时钟

rst_n:复位信号,低电平有效

a,b:8bit位宽的无符号数

输出描述:

c:8bit位宽的无符号数

代码

`timescale 1ns/1ns
module data_minus(input clk,input rst_n,input [7:0]a,input [7:0]b,output  reg [8:0]c
);
//*************code***********//
/*代码思路: if(a>b),输出a-bif(a<=b),输出b-a简单的if判断
*/always @(posedge clk or negedge rst_n) beginif(!rst_n)c <= 0;else beginif(a>b)c <= a-b;else if(a<=b)c <= b-a;endend
//*************code***********//
endmodule
http://www.yayakq.cn/news/488044/

相关文章:

  • 哪些网站专做自媒体的微信怎么自己创建小程序
  • 在线推广企业网站的方法有哪些互联网项目推广
  • 普工找工作哪个网站好家居企业网站建设服务
  • 做商城网站需要什么条件哔哩哔哩网页版缓存视频在哪里
  • 网站漂浮图片代码pc 手机站网站制作
  • wordpress打开网站前动画企业网站的建立目的和特点是什么
  • 网站集约化建设工作方案成都微信小程序开发多少钱
  • 中小型企业网站建设企业wordpress关于我们
  • 海口建设网站的公司百度容易收录哪些网站
  • 微网站一键导航网上营销渠道
  • 南京的网站制作公司进博会入口
  • 婚恋网站女代我做彩票建设摩托车公司官方网站
  • 建站哪个网站比较好重庆建设工程交易网
  • 受欢迎的建网站公司个人域名网站
  • 网站如何绑定公众号友情链接交易平台源码
  • 普定县建设局网站综合电子商务型企业网站
  • 邯郸做外卖网站的公司城乡建设学校网站
  • 教育网站建站需求专做女鞋的网站
  • 淄博网站设计制作襄阳市住房和城乡建设局官方网站
  • 新余 网站建设公司网站设计目的
  • 网站开发 盈利网站开发工具概述与比较
  • 兼职做网站设计洛阳市住房和城乡建设局网站
  • 网站开发专业职业规划全屋定制十大名牌品牌
  • 菏泽市网站建设怎么样做网站页面
  • 一个简单的个人网站图标wordpress
  • 做二手车网站需要什么手续费aso优化技术
  • 建网站多少潜江资讯网免费发布信息
  • 电子商务网站开发遇到的问题国外采购网站大全
  • 租号网站开发广东深圳住房和城乡建设部网站
  • 微网站平台上海地区网站开发公司