当前位置: 首页 > news >正文

响应式网站切图行业网站导航

响应式网站切图,行业网站导航,上海优化关键词的公司,外贸网站如何建站牛客网Verilog刷题——VL52 题目答案 题目 请编写一个十进制计数器模块,当mode信号为1,计数器输出信号递增,当mode信号为0,计数器输出信号递减。每次到达0,给出指示信号zero。模块的接口信号图如下: 模块的…

牛客网Verilog刷题——VL52

  • 题目
  • 答案

题目

  请编写一个十进制计数器模块,当mode信号为1,计数器输出信号递增,当mode信号为0,计数器输出信号递减。每次到达0,给出指示信号zero。模块的接口信号图如下:

在这里插入图片描述
  模块的时序图如下:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
modewireInput1模式选择信号,当该信号为1,计数器每个时钟加一;为0,则每个时钟减一
numberregOutput44比特位宽,计数器当前输出读数
zeroregOutput1过零指示信号,当number为0时,该信号为1,其他时刻为0

答案

`timescale 1ns/1nsmodule count_module(input clk,input rst_n,input mode,output reg [3:0]number,output reg zero);reg [3:0] num;always @(posedge clk or negedge rst_n)if(!rst_n)num <= 4'd0;else if(mode == 1)if(num == 4'd9)num <= 4'd0;elsenum <= num + 1'd1;else if(mode == 0)if(num == 4'd0)num <= 4'd9;elsenum <= num - 1'd1;elsenum <= num;always @(posedge clk or negedge rst_n)if(!rst_n)zero <= 1'b0;else if(num == 4'd0)zero <= 1'b1;elsezero <= 1'b0;always @(posedge clk or negedge rst_n)if(!rst_n)number <= 4'd0;elsenumber <= num;endmodule
http://www.yayakq.cn/news/486394/

相关文章:

  • 墨尔本网站建设做网站你们用什么浏览器
  • wordpress 微信h5seo查询系统
  • 虚拟产品网站方维网站后台提示验证码错误
  • 网站开发先学什么看网站的访问量
  • 网站怎么做透明导航wordpress视频无法播放
  • 网站规划与建设重要性理解与体会html5游戏WordPress
  • 电影网站怎么做的济南seo快速霸屏
  • 桐城58网站在那里做沈阳建站免费模板
  • 网站做app的重要性江门网站建设价格
  • 域名注册网站那个好前端培训的机构
  • 万网主机 网站访问电子商务网站建设的工具
  • 安康网站开发公司价格公司开发个网站有哪些
  • 建设网站企业运营做个人网站到哪里做
  • linux网站建设技术指南兰州公司做网站的价格
  • 有深度网站宁波建网站报价
  • 招聘网站分析如何做中国工程网查询
  • 江门网站建设自助建站阿里云做网站视频教程
  • 动易网站系统怎么样哪个网站可以悬赏做图
  • 素材解析网站搭建门户网站优化
  • 四川旅游seo整站优化网站诊断结论
  • 上海废弃物备案网站塘沽做网站公司
  • 化妆品公司网站模板国外搜索引擎
  • 连连建设跨境电商网站哪些网站容易被百度收录
  • 辽宁丹东建设工程信息网站网站后台常用密码
  • 广州网站建设选哪家wordpress转发分享插件
  • 成都网站开发建设推广海尔网站建设的基本情况
  • 官方在家做兼职的网站建筑人才网评职称
  • 网站建设合同报价单 模板下载建设网站的法律声明
  • 网页制作免费网站建设网站设计开发软件网页美化工具
  • 做数据权威的网站图片设计软件免费版