当前位置: 首页 > news >正文

青岛西海岸新区建设局网站郑州seo排名收费

青岛西海岸新区建设局网站,郑州seo排名收费,映射做网站,比特币支付网站建设使用 CLOCK_DEDICATED_ROUTE 约束 CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM 或 PLL 时使 用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM 或 PLL 对必须布局在相同…
使用 CLOCK_DEDICATED_ROUTE 约束
CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM PLL 时使
用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM PLL 对必须布局在相同的时钟区
域中。
注释: 在使用 UltraScale 器件工作时,勿在端口直接驱动的网络上应用 CLOCK_DEDICATED_ROUTE 属性。相反,将 CLOCK_DEDICATED_ROUTE 属性应用到 IBUF
输出。
当从一个时钟区域中的时钟缓存驱动垂直相邻时钟区域中的 MMCM PLL 时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 BACKBONE UltraScale
件的 CLOCK_DEDICATED_ROUTE 设置为 SAME_CMT_COLUMN 。这可以防止实现错误,并确保时钟仅使用全局时钟资源进行布线。以下示例显示了在垂直相邻时钟
区域中驱动两个 PLL 的时钟缓存。
set_property CLOCK_DEDICATED_ROUTE SAME_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X0Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X0Y4 [get_cells PLLE3_ADV_inst_1]
当从时钟缓存驱动到不垂直相邻的其他时钟区域时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 FALSE
UltraScale 器件的 ANY_CMT_COLUMN 设置为 CLOCK_DEDICATED_ROUTE 。这可以防止实现错误,并确保时钟仅使用
全局时钟资源进行布线。以下示例和图显示了驱动与输入缓存不在同一时钟区域列上的两个 PLL BUFGCE
set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X1Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X1Y4 [get_cells PLLE3_ADV_inst_1]
http://www.yayakq.cn/news/588043/

相关文章:

  • 帝国网站管理系统后台织梦做网站好不好
  • 网站这么设置微信支付中国建设银行网站查询密码
  • 湖南医院响应式网站建设企业傻瓜做网站
  • 静态网站制作模板餐饮业网站建设招标书
  • 网站申请备案流程wordpress保存的字体
  • 一个公司网站备案免费咨询合同范本
  • 孝感织云网站建设app做好了网站怎么做
  • 钓鱼网站下载安装自己做博客网站和百家号的区别
  • 芜湖手机网站开发交易网站模板
  • 怎么查询网站建设时间wordpress 回复楼层
  • 建设销售网站的好处网站运作方式
  • 想建立一个网站郑州 外包网站
  • 长兴县网站建设旅游网站建设策划
  • 做专业网站网站图表怎么做的
  • 广西建设工程质量安全监督网站个人能做网站吗
  • 昆明网站词排名优化科技网站设计资讯
  • 上海 网站平台开发一般网站的流量是多少
  • 北京外包网站学网络工程师
  • 单页网站制作软件如何搭建网站服务器
  • 网站维护源码电商平台证明怎么开
  • 网站建设互联网加济南优化网站
  • 漯河做网站推广大安市网站
  • 萍乡的电子商务网站建设公司网站安装系统怎么安装教程视频
  • 重庆南岸营销型网站建设价格做企业网站 需要用服务器吗
  • 上海房地产网站建设ctcms做的比较好的网站
  • 做电影网站哪个系统好百度网址链接是多少
  • 江苏建设网站首页行业门户网站 建站
  • 系部网站建设需求分析python 做网站模块
  • 国外网站配色百度应用
  • 服务网站建设方案wordpress 初始化