当前位置: 首页 > news >正文

云建网站石家庄有没有销售做被用的网站

云建网站,石家庄有没有销售做被用的网站,wordpress 登陆logo,广西模板厂集中在哪里实验要求: 采用 4 个开关以二进制形式设定分频系数(1-10),实现对已知信号的分频。 类似实验我之前做过一次,但那次的方法实在是太笨了: 利用VHDL实现一定系数范围内的信号分频电路 需要重做以便将来应对更…

实验要求:
采用 4 个开关以二进制形式设定分频系数(1-10),实现对已知信号的分频。

类似实验我之前做过一次,但那次的方法实在是太笨了:
利用VHDL实现一定系数范围内的信号分频电路
需要重做以便将来应对更大的分频系数

先画个图分析下:
在这里插入图片描述
做偶数系数的分频,你只要关注上升沿或下降沿中的其中一种即可,但如果是奇数系数分频,你必须同时关注两种变化。
我的代码:

library ieee ;
use ieee.std_logic_1164.all ;
use ieee.std_logic_arith.all ;
use ieee.std_logic_unsigned.all ;
use ieee.numeric_std.all ;entity Division2 is port (input : in std_logic ;sw : in std_logic_vector(3 downto 0) ;output : buffer std_logic) ;
end Division2 ;architecture divide of Division2 issignal mid : std_logic := '0' ;signal midt : std_logic := '0' ;signal num : integer := 0 ;
beginprocess(input, sw, mid, midt)variable upside : integer := 0 ;	-- how many rising edges ?variable downside : integer := 0 ;	-- how many falling edges ?beginnum <= conv_integer(sw) ;			-- this function can change vector to integerif rising_edge(input) thenupside := upside + 1 ;if (num MOD 2 = 1) thenif ((upside = ((num + 1)/2)) or ((upside - ((num + 1)/2)) MOD num = 0)) thenmid <= NOT mid ;end if ;end if ;elsif falling_edge(input) thendownside := downside + 1 ;if ((num MOD 2 = 0) and (num>0)) thenif (downside MOD (num/2) = 0) thenmidt <= NOT midt ;end if ;elsif (num MOD 2 = 1) thenif (downside MOD num = 0) thenmidt <= NOT midt ;end if ;end if ;end if ;output <= mid xor midt ;end process ;end divide ;

参考资料:
VHDL和Verilog中数组定义、初始化、赋值方法
FPGA之道(27)VHDL的操作符号
VHDL VHDL语言中buffer与inout的区别
(关于VHDL中的buffer,我以后还需要查阅专业资料进一步学习)
quartusⅡ中出现的警告及原因(不断收集中…)
VHDL vector转integer
在VHDL中,可以使用conv_integer函数将std_logic_vector类型转换成整数

http://www.yayakq.cn/news/484527/

相关文章:

  • windows 2008 iis添加网站七牛云动静分离wordpress
  • 张家界做网站网站品牌建设公司
  • 域名备案中网站可以开通制作网站一般使用的软件有哪些
  • 网站建站 外贸江门网站推广哪里专业
  • 做婚礼效果图的网站有哪些wordpress 进后台
  • 长垣县住房和城乡建设局网站如何做家教网站
  • 如何申请网站优化工作广州企业官网建设
  • 专业的建网站的公司wordpress点评模板
  • 会员管理网站ASP建设做网站哪里最便宜
  • 杭州高端网站制作基于 seajs 的高性能网站开发和优化实践_王保平(淘宝)
  • 石药网站好一点的app开发公司
  • 风景旅游网站建设的设计思路视频拍摄剪辑培训
  • 学生个人网站模板嘉峪关建设局网站
  • 专业构建网站的公司wordpress 游戏 模板下载
  • 哪个博客可以做单页网站台山住房和城乡建设 网站
  • 搭建网站的五大步骤seo优化seo外包
  • 网站内容编辑器上海网络推广报价
  • 网站备案多长时间来完成简单的做海报的网站
  • 做点心的网站手机网站有什么
  • 游戏发号网站源码快速做网站详情页
  • 苏州做网站公司精选苏州聚尚网络更改wordpress后台登录地址
  • 营销网站建设内容wordpress新建文章页修改图片样式
  • 锦州网站建设公司公司做网站价格
  • 廊坊网站建站贺州招聘网站建设
  • 嘉定建设机械网站论述网站建设流程
  • 网站程序风格淘宝网站建设目的
  • 未央网站建设pc做网站
  • 网站配色原理wordpress教程破解版
  • cdn网站加速有用吗兄弟网站制作
  • 酒店专业培训网站建设网站网址有哪些