当前位置: 首页 > news >正文

网站在线建设方案即墨建设局网站

网站在线建设方案,即墨建设局网站,微信制作小程序流程,页面设计归运营管还是美工根据状态转移表实现时序电路 描述 某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。 电路的接口如下图所示。 输入描述: input A , input clk , …

根据状态转移表实现时序电路

描述

某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。

电路的接口如下图所示。

输入描述:

      input                A   ,
      input                clk ,
      input                rst_n

输出描述:

      output   wire        Y  

解题思路:

①首先根据题干中的状态转换表,给出次态Q_1^{n+1},Q_0^{n+1}和输出Y的卡诺图,如下所示:

表达式为Q_1^{n+1}=Q_1^{n+1}\oplus Q_0^n \oplus A,Q_0^{n+1}=\overset{-}{Q_0^n},其中:

输出方程Y=Q_0^nQ_1^n

由于题干中所使用的触发器为D触发器,根据D触发器的特征方程Q_1^{n+1} = D_1, Q_0^{n+1} = D_0,得到其状态方程;

因此,激励方程D_1=Q_1^{n+1}\oplus Q_0^n \oplus A,D_0=\overset{-}{Q_0^n};

代码:

下面给出两种代码:

①寄存器未单独例化
`timescale 1ns/1nsmodule seq_circuit(input                A   ,input                clk ,input                rst_n,output   wire        Y   
);reg Q0, Q1;
always @(posedge clk or negedge rst_n)beginif(!rst_n)Q0 <= 1'b0;elseQ0 <= ~Q0 ;
end always @(posedge clk or negedge rst_n)beginif(!rst_n)Q1 <= 1'b0;elseQ1 <= Q1 ^ Q0 ^ A;
endassign Y = Q1 & Q0;endmodule
②将寄存器单独例化
`timescale 1ns/1nsmodule seq_circuit(input                A   ,input                clk ,input                rst_n,output   wire        Y   
);//代码二
wire q0, q1, d0, d1;
//激励方程
assign d0 = ~q0;
assign d1 = A ^ q0 ^ q1;
//状态方程
DFF D0 (.d(d0), .clk(clk), .rst_n(rst_n), .q(q0));
DFF D1 (.d(d1), .clk(clk), .rst_n(rst_n), .q(q1));
//输出方程
assign Y = q0 & q1;endmodule//带复位端的D触发器
module DFF(input        d, input        clk, input        rst_n,output   reg q);always @(posedge clk or negedge rst_n) beginif (!rst_n) q<= 1'b0;else q<= d; end
endmodule

http://www.yayakq.cn/news/781360/

相关文章:

  • 怎么建设阿里巴巴国际网站德州北京网站建设
  • 四川住房和城乡建设九大员网站网站优化客户报表
  • 苏州比较大的网站公司网站备案换接入商
  • 查看邮箱注册的网站wordpress 注册钩子
  • 北京一家专门做会所的网站简述程序开发的流程
  • 旅游网站模板htmlwordpress支付查看插件
  • 有模板如何做网站廊坊做网站优化
  • 广州网络帮助建站百度 营销推广多少钱
  • 福州建设网站效果个人网站备案材料
  • 自助建站上建的网站免费吗wordpress 百度推广
  • 湖南基础建设投资集团网站自己怎么手机做网站
  • 网站建设是指erp系统介绍
  • 网站实名认证怎么做吐鲁番好网站建设设计
  • 做网站需要哪些手续网站开发相关会议
  • 网站建设太金手指六六二八互联网保险现状
  • 本地电脑做视频网站 外网连接网站制作简单模版
  • 现在网站开发的前端语言房管局备案查询网站
  • 宏润建设网站网站域名和服务器到期
  • 网站分析 实例南京做网站建设的公司排名
  • 山东省建设厅执业资格注册中心网站wordpress 时间轴页面
  • 网站建设的好处工业设计工资一般多少
  • 做网站如何写需求wordpress 获取优酷
  • 社区子网站群建设有哪些做家教网站
  • 法律咨询网站建设方案电商网站模板下载
  • 贵阳做网站公司英茗网站建设
  • 做网站的外包需要分享客户信息外贸网站建设服务商
  • 电子商务网站建设交印花税吗对京东网站建设的总结
  • 网站开发从入门到精通最经济 网站建设
  • 共享网站哪里建知名企业网站人才招聘情况如何
  • 上海做宴会的网站wordpress contact 伪静态