当前位置: 首页 > news >正文

建立个人网站的详细步骤建设银行的官方网站电脑版

建立个人网站的详细步骤,建设银行的官方网站电脑版,云南网站营销,wp建站系统(1)从testbench的角度看,tb中信号的输入输出方向与interface中信号输入输出方向一致: (2)从DUT角度看,DUT中信号输入输出方向与interface中信号输入输出方向相反。简单图示如下: 代…

(1)从testbench的角度看,tb中信号的输入输出方向与interface中信号输入输出方向一致
(2)从DUT角度看,DUT中信号输入输出方向与interface中信号输入输出方向相反。简单图示如下

代码示例如下:
 

interface my_if(input bit clk);bit write;bit [15:0] data_in;bit [7:0] address;logic [15:0] data_out;clocking cb @ (negedge clk);default input #1ns output #2ns;output  write;output  data_in;output   address;input data_out;endclockingmodport master(clocking cb);modport slave(input write, data_in, address, output data_out);endinterfacemodule master( clk,data_out  ,  write ,data_in,address );input logic clk,write;output logic [7:0] data_out;input logic [7:0] data_in ,address;always @(negedge clk)if(write==0)data_out<=0;else if (write==1)data_out<=data_in;endmoduleclass BB;virtual  my_if master_inst;function new(virtual interface  my_if a);master_inst=a;
endfunctiontask ass();master_inst.master.cb.write<=0;repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h12;repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h34;	repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h45;master_inst.master.cb.write<=1;repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h56;	repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h67;repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h52;	repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h81;repeat(10) @(posedge master_inst.clk);master_inst.master.cb.data_in<='h05;	endtaskendclassmodule slaver(my_if.slave sif);initial beginsif.data_out <= 16'h0;#275 sif.data_out <= 16'h1;end
endmodulemodule test;bit clk = 0;always #50 clk = ~clk;my_if regbus(clk);master m0(.clk(regbus.clk ), .address(regbus.address), .data_out(regbus.data_out), .data_in(regbus.data_in),.write(regbus.write));//slaver s0(regbus.slave);BB b_inst=new(regbus);initial begin#100 b_inst.ass();endendmodule 

注意:
(1)当interface中有modport或者clocking块时,在testbench中可以直接定义interface的实例,可以将其直接传递到class中,然后在class中的task中可以通过点运算法逐层次的访问modport或者clocking中的信号。也可以通过点运算符直接在tb中实例化interface中的modport对象,然后传递到class中。
(2)在class中的task中对clocking块中的信号赋值时,必须使用非阻塞赋值语句<=;
 

http://www.yayakq.cn/news/414122/

相关文章:

  • 管理型网站建设费用明细山东人才招聘信息网官网
  • 巩义网站建设费用软件开发培训机构
  • 做网站推广我们是专业的2020网络公司排名
  • 分类信息网站如何做优化顺口的广告公司的名字
  • 龙岗网站建设方案网站建设额
  • 常用的网站建设程序有哪些公司网站建设比较好的公司
  • 凡科网站建站电信改公网ip可以做网站吗
  • 微信网页宣传网站怎么做开发游戏软件需要学什么专业
  • 做网站ceo专业的网站建设制作服务
  • WordPress怎么修改网站登陆地址淘宝网站怎么做的好看
  • 网站模块设计怎么做怎么创建自己的游戏网站
  • 官方网站哪家做的最好网站开发制作报价单
  • iis发布php网站有赞商城网页版
  • 购物网站设计开题报告wordpress在哪里设置编辑器
  • 网站开发怎么挣钱设置wordpress数据库用户名密码
  • 邹城市建设局网站湖北荆门建设银行网站
  • 提出网站推广途径和推广要点网站无法做301重定向
  • 深圳企业推广网站排名行业关键词一览表
  • 迈网科技 官方网站免费自助建站系统大全
  • 网站flash音乐播放器成都互联网外包公司
  • 手机微信网站模板新闻投稿平台有哪些
  • 网站统计关键词怎样用织梦做淘宝客网站
  • 陕西网站建设品牌公司推荐滨州网站定制
  • 描述网站建设的基本流程商标购买平台
  • 阿里备案成功后怎么做网站中山市城乡建设局网站
  • 网站pc转移动端代码百度爱采购官方网站
  • 苏州学习网站建设制作个网站多少钱
  • 广西网站建设seo优化网站建设要那些东西
  • 专门做电路图的网站哪些网站是做快消品的
  • 做化工哪个网站好dw做的网站怎么发布到网上