当前位置: 首页 > news >正文

网站推荐你懂我的意思吧知乎南京 seo 价格

网站推荐你懂我的意思吧知乎,南京 seo 价格,在线制作图片生成器小程序,专业网站建设公司在线咨询专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 自动贩售机中可能存在的几种金额:0,0.5,1,1.5,2,2.5,3。然后直接将其作为状态机的几种状…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

自动贩售机中可能存在的几种金额:0,0.5,1,1.5,2,2.5,3。然后直接将其作为状态机的几种状态,并根据投币面额确定状态转移。

需要注意的是:根据时序图,可以发现在找零时,out2输出的结果是找零数额的两倍,即找零0.5应输出1,找零1应输出2,以此类推。

`timescale 1ns/1ns
module seller1(input wire clk  ,input wire rst  ,input wire d1 ,input wire d2 ,input wire d3 ,output reg out1,output reg [1:0]out2
);
//*************code***********//parameter S0 = 0, S0_5 = 1, S1 = 2, S1_5 = 3, S2 = 4, S2_5 = 5, S3 = 6 ; reg [2:0] state, nstate ;always @ (posedge clk or negedge rst) begin if (~rst) state <= S0 ; else state <= nstate ; endalways @ (*) begin case (state) S0 : nstate = d1 ? S0_5 : d2 ? S1 : d3 ? S2 : nstate ;S0_5 : nstate = d1 ? S1 : d2 ? S1_5 : d3 ? S2_5 : nstate ; S1 : nstate = d1 ? S1_5 : d2 ? S2 : d3 ? S3 : nstate ; S1_5, S2, S2_5, S3 : nstate = S0 ; default : nstate = S0 ; endcase endalways @ (*) begin if (~rst) out1 <= 'd0 ; else out1 <= state == S1_5 || state == S2 || state == S2_5 || state == S3 ; endalways @ (*) begin if (~rst) out2 <= 'd0 ; else case (state) S0, S0_5, S1, S1_5 : out2 <= 1'd0 ; S2 : out2 <= 1'd1 ; S2_5 : out2 <= 2'd2 ; S3 : out2 <= 2'd3 ; default : out2 <= 'd0 ; endcaseend 	//*************code***********//
endmodule
http://www.yayakq.cn/news/429533/

相关文章:

  • 做网站计划表网站跳转链接生成
  • 上海seo网站排名优化公司南京百度搜索优化
  • 网站建设方式丨金手指排名26用超轻粘土做网站
  • 做品牌 需要做网站吗电子商务网站建设经费
  • 官方网站的网络营销功能分析检测网站安全
  • 品牌网站建设h合肥怎样开发一个微信公众号
  • 策划方案网站梅州在建高铁最新消息
  • 智联招聘网站怎么做微招聘全自动引流推广软件免费
  • 建立自己的购物网站长沙建设网站企业
  • c 做的博客网站滨州网站建设模板建设
  • 网站底部菜单创建游戏的软件
  • 怎么做网站版面分析网站建设算什么资产
  • 建设营销型网站的步骤局域网如何做网站
  • 企业网站建设前网站目的需明确搜索竞价托管
  • 网站服务器速度对seo有什么影响?建筑公司标志logo设计
  • 茂名做网站dyiee郑州网站推广营销
  • 青岛网站推广哪家效果好飞创网站建设
  • 济南中建设计院网站wordpress 基础建站
  • 做带支付功能的网站牡丹江市建设工程交易中心网站
  • 网站建设中英语网站跟网页的区别是什么
  • 绍兴网站制作多少钱镇江市丹徒区建设局网站
  • 做网站还有开发文档吗德阳做网站
  • 有固定ip自己做网站微商城运营的主要工作
  • 珠海网站关键词排名服务商wordpress广告代码没显示
  • apache多网站配置花店网站建设规划书
  • htm网站廊坊seo软件
  • 网站建设系统 开源首页无法删除wordpress
  • app调用网站长沙网站建设公司
  • 做网站程序员都要先做维护么北京北站
  • 怎么去做一个网站江门网站建设方案优化