当前位置: 首页 > news >正文

怎么做企业网站平台wordpress dewplayer

怎么做企业网站平台,wordpress dewplayer,商城类网站开发,有源代码如何做网站使用 CLOCK_DEDICATED_ROUTE 约束 CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM 或 PLL 时使 用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM 或 PLL 对必须布局在相同…
使用 CLOCK_DEDICATED_ROUTE 约束
CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM PLL 时使
用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM PLL 对必须布局在相同的时钟区
域中。
注释: 在使用 UltraScale 器件工作时,勿在端口直接驱动的网络上应用 CLOCK_DEDICATED_ROUTE 属性。相反,将 CLOCK_DEDICATED_ROUTE 属性应用到 IBUF
输出。
当从一个时钟区域中的时钟缓存驱动垂直相邻时钟区域中的 MMCM PLL 时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 BACKBONE UltraScale
件的 CLOCK_DEDICATED_ROUTE 设置为 SAME_CMT_COLUMN 。这可以防止实现错误,并确保时钟仅使用全局时钟资源进行布线。以下示例显示了在垂直相邻时钟
区域中驱动两个 PLL 的时钟缓存。
set_property CLOCK_DEDICATED_ROUTE SAME_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X0Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X0Y4 [get_cells PLLE3_ADV_inst_1]
当从时钟缓存驱动到不垂直相邻的其他时钟区域时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 FALSE
UltraScale 器件的 ANY_CMT_COLUMN 设置为 CLOCK_DEDICATED_ROUTE 。这可以防止实现错误,并确保时钟仅使用
全局时钟资源进行布线。以下示例和图显示了驱动与输入缓存不在同一时钟区域列上的两个 PLL BUFGCE
set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X1Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X1Y4 [get_cells PLLE3_ADV_inst_1]
http://www.yayakq.cn/news/389104/

相关文章:

  • 怎么做平台网站网站空间可以自己买吗
  • wordpress怎么写html搜索引擎优化包括哪些方面
  • 绍兴市柯桥区建设局网站学销售去哪个学校好
  • 做自己的网站要多少钱东莞网站建设有什么网络公司
  • 域名备案期间 网站访问全国集团网站建设
  • 做网站常用图标界面软件开发工具
  • 南宁高端网站建设国际转运网站建设
  • 网站留言板样式怎样建立一个免费的网站
  • 小米果怎么做视频网站网站架构分析
  • 网站域名备案和做网站网站设计公司哪个好
  • 音乐做音基题网站龙华网站建设深圳信科
  • vs做网站时怎么弹出窗口做网站会用到的代码单词
  • 西安招聘网站网站与网站之间做的好坏对比
  • 沈阳电商网站建设校园新主页网站的建设
  • microsoft做网站网站建设中采用的技术方案
  • 做礼品贸易好的网站好用的wordpress
  • 服务器做网站上传快好还是下载快好免费开通企业邮箱
  • 滑县网站建设哪家便宜酒店网站开发回扣
  • 北京住房城乡建设部网站首页个人做考试类网站
  • 制作一个网站需要多久百度 网站建设
  • 服装类电子商务网站建设报告网站建设价格优 秀乐云seo
  • vps可以做多少网站网站设计开发方案
  • 长春一般做一个网站需要多少钱django网站开发实例
  • 企业网站做appwordpress做管理系统
  • 如何开发移动网站临湘网站
  • 网站服务器搭建与管理WordPress网站代码修改
  • 网站做外链好不好做cpa推广的网站怎么弄
  • 广州网站建设 骏域网站建设专家网盘搜索网站如何做的
  • 做简历哪个网站好广告发布属于什么服务
  • 大连建设主管部门网站企业网站制作套餐