当前位置: 首页 > news >正文

三优科技 网站开发湖南省郴州市中考成绩查询

三优科技 网站开发,湖南省郴州市中考成绩查询,网站管理助手建站,访问国外网站用什么dnsDC对Verilog和SystemVerilog语言的支持 设计语言用哪种?Design Compiler对二者的支持简单的fsm电路测试测试结果对比写在最后 设计语言用哪种? 直接抛出结论:先有电路,后为描述。设计端而言,没有语言的高低好坏&#…

DC对Verilog和SystemVerilog语言的支持

  • 设计语言用哪种?
  • Design Compiler对二者的支持
  • 简单的fsm电路测试
  • 测试结果对比
  • 写在最后

设计语言用哪种?

  1. 直接抛出结论:先有电路,后为描述
  2. 设计端而言,没有语言的高低好坏,只有描述的精准与否。本文的实验结果很好的说明了这一点。

Design Compiler对二者的支持

  1. 我们在Design Compiler中读入命令有这样的选项,支持包括v和sv在内的三种主流硬件描述语言。
    在这里插入图片描述

简单的fsm电路测试

  1. 分别给出一个简单的FSM电路的V代码和SV代码。并基于SAED32nm学习用工艺库来做DCT的实现。
    代码如下

module fsm(clk,rst_n,x,y
);
input  clk;
input  rst_n;
input  x;
output y;parameter A = 3'd0,B = 3'd1,C = 3'd2,D = 3'd3,E = 3'd4;reg [2:0] cur_state,nxt_state;always @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways @(*) beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule
module fsm(clk,rst_n,x,y
);
input logic clk;
input logic rst_n;
input logic x;
output logic y;typedef enum logic [2:0] {A,B,C,D,E} State;State cur_state,nxt_state;always_ff @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways_comb beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule

测试结果对比

  1. 我们对二者进行相同的综合环境设置并读入设计
    请添加图片描述请添加图片描述
  2. 分析结果如下:
    sv电路图结果如图所示:
    请添加图片描述
    v电路图描述结果如下图所示:
    请添加图片描述

写在最后

  1. 从上一节的结果来看,综合工具DC对两种语言的支持都是比较完美的,因此还是回归那句话,先有电路,后为描述
http://www.yayakq.cn/news/899339/

相关文章:

  • 装修公司网站设计规划微信房地产网站建设
  • 怎么做垂直自营网站今天株洲最新消息
  • 吉林专业做网站wordpress 小工具 不显示不出来
  • 建站宝盒哪个牌子好国际国内时事
  • 无锡网站建设公司深圳团购网站建设
  • 厦门网站设计哪家公司好wordpress的留言功能
  • 设计互动网站建设腾讯小程序官网首页
  • 怎么看公司网站做的好不好哦建设旅游网站的市场分析
  • 简单网站建设策划书范文企业微网站
  • 关于建设 网站的请示广告推广怎么做
  • 建设网站后期人员薪酬wordpress侧边菜单栏
  • 山西网站推怎么建立自己的公司网站
  • 公司做网站好不好北京中小企业公司名单
  • 个人网站建设价格网络软文发布平台
  • 住房和城乡建设部网站诚信评价如何再腾讯云服务器做网站
  • 如何用python做网站脚本语言番禺网站建设品牌好
  • 修改公司网站网页网站登陆注册怎么做
  • 汕头网站推广哪家好个性化网站建设多少钱
  • 济南公司网站建设自己弄个网站
  • 什么企业网站能自己做安徽和城乡建设厅网站
  • 柳州网站建设柳州吸引人的营销标题
  • 苗圃企业网站源代码增值服务包含哪些产品
  • 专门做外贸的的网站有哪些wordpress类似qq空间
  • 中国站长之家域名查询网络推广公司怎么接单
  • 网站字体 font-family网页制作主题
  • 医疗类网站建设技术支持:佛山网站建设
  • 如何做直播类网站宜阳网站建设
  • 佛山网站策划哪家专业电商网站有哪些特色
  • 个人网站备案能做什么内容wordpress教程文档
  • 泰兴网站推广做网站西安计算机培训机构排行榜